备份
clear all;clc;
clf;
%parameters
ts=1/1000;
fs=1/ts;
fc=250;
T1=-0.1; T2=0.1;
t=[T1:ts:T2];
N=length(t);
snr=20;
%time domain
%message signal
tau=0.1;
message=zeros(size(t));
midpoint=floor((T2-T1)/2/ts)+1;
L1=midpoint-fix(tau/2/ts);
L2=midpoint+fix(tau/2/ts)-1;
message(L1:midpoint)=(2/tau)*t(L1:midpoint)+1;
message(midpoint+1:L2)=-(2/tau)*t(midpoint+1:L2)+1;
%carrier
carrier=cos(2*pi*fc.*t);
%modulation signal
dsb_mod_sig=message.*carrier;
%frequency domain
resolution=1/(N*ts);
f=[0:resolution:fs-1]-fs/2;
%Message
Message_freq=fft(message,N);
Message_freq=Message_freq/fs;
%Carrier
Carrier_freq=fft(carrier,N);
Carrier_freq=Carrier_freq/fs;
%Modulation signal
DSB_mod_sig_freq=fft(dsb_mod_sig,N);
DSB_mod_sig_freq=DSB_mod_sig_freq/fs;
%generation of noise
sig_power=(dsb_mod_sig*dsb_mod_sig')/N;
noise_power=sig_power/(10^(snr/10));
noise=sqrt(noise_power)*rand(1,length(dsb_mod_sig));
rx_sig=dsb_mod_sig+noise;
Rx_sig_freq=fft(rx_sig,N);
Rx_sig_freq=Rx_sig_freq/fs;
%Plot===============
%time domain
figure(1)
%message
subplot(2,1,1)
plot(t,message(1:N))
xlabel('Time');
title('message waveform')
%carrier
subplot(2,1,2)
plot(t,carrier(1:N))
xlabel('Time')
title('Carrier Waveform')
figure(2)
%DSB SIGNAL
subplot(2,1,1)
plot(t,dsb_mod_sig(1:N))
xlabel('time')
title('Modulation signal')
%DSB signal+noise
subplot(2,1,2)
plot(t,rx_sig(1:N))
xlabel('time')
title('Signal and Noise')
%frequency domain
figure(3)
%message
subplot(2,1,1)
plot(f,abs(fftshift(Message_freq(1:length(t)))))
xlabel('frequency')
title('Spectrum of the message signal')
%DSB signal
subplot(2,1,2)
plot(f,abs(fftshift(DSB_mod_sig_freq(1:length(t)))))
xlabel('frequency')
title('Spectrum of the modulation signal')
%DSB_signal + noise
figure(4)
plot(f,abs(fftshift(Rx_sig_freq(1:length(t)))))
xlabel('frequency')
title('Spectrum of the modulation signal and noise')
备份
clear all;clc;
clf;
%parameters
ts=1/1000;
fs=1/ts;
fc=250;
T1=-0.1; T2=0.1;
t=[T1:ts:T2];
N=length(t);
snr=20;
%time domain
%message signal
tau=0.1;
message=zeros(size(t));
midpoint=floor((T2-T1)/2/ts)+1;
L1=midpoint-fix(tau/2/ts);
L2=midpoint+fix(tau/2/ts)-1;
message(L1:midpoint)=(2/tau)*t(L1:midpoint)+1;
message(midpoint+1:L2)=-(2/tau)*t(midpoint+1:L2)+1;
%frequency domain
resolution=1/(N*ts);
f=[0:resolution:fs-1]-fs/2;
%carrier
carrier=cos(2*pi*fc.*t);
%message signal
figure(1)
subplot(2,1,1)
plot(t,message)
Message_freq=fft(message,N);
Message_freq=Message_freq/fs;
subplot(2,1,2)
plot(f,abs(fftshift(Message_freq)))
%1. Ac>0
Ac=2;
figure(2)
subplot(2,1,1)
%modulation signal
tc_mod_sig=message.*carrier+Ac*carrier; % modulated signal--Sam(t)
plot(t,tc_mod_sig(1:N))
subplot(2,1,2)
%Modulation signal in frequency domain
Tc_mod_sig=fft(tc_mod_sig,N);
Tc_mod_sig=Tc_mod_sig/fs;
plot(f,abs(fftshift(Tc_mod_sig(1:length(t)))))
%2. -1<Ac<0
Ac=-0.4;
figure(3)
subplot(2,1,1)
%modulation signal
tc_mod_sig=message.*carrier+Ac*carrier;
plot(t,tc_mod_sig(1:N))
subplot(2,1,2)
%Modulation signal in frequency domain
Tc_mod_sig=fft(tc_mod_sig,N);
Tc_mod_sig=Tc_mod_sig/fs;
plot(f,abs(fftshift(Tc_mod_sig(1:length(t)))))
%3.Ac=-1
Ac=-1;
figure(4)
subplot(2,1,1)
%modulation signal
tc_mod_sig=message.*carrier+Ac*carrier;
plot(t,tc_mod_sig(1:N))
subplot(2,1,2)
%Modulation signal in frequency domain
Tc_mod_sig=fft(tc_mod_sig,N);
Tc_mod_sig=Tc_mod_sig/fs;
plot(f,abs(fftshift(Tc_mod_sig(1:length(t)))))
%4. Ac<-1
Ac=-2;
figure(5)
subplot(2,1,1)
%modulation signal
tc_mod_sig=message.*carrier+Ac*carrier;
plot(t,tc_mod_sig(1:N))
subplot(2,1,2)
%Modulation signal in frequency domain
Tc_mod_sig=fft(tc_mod_sig,N);
Tc_mod_sig=Tc_mod_sig/fs;
plot(f,abs(fftshift(Tc_mod_sig(1:length(t)))))
备份
library ieee;use ieee.std_logic_1164.all;
entity cu is port
(x,y: in std_logic_vector(7 downto 0);
clock: in std_logic;
z: out std_logic_vector(7 downto 0));
end entity;
architecture behavioral of cu is
type state_type is (s_input_xy,s_extra,s_x_bigger,s_y_bigger,s_output);
signal state: state_type;
begin
fsm: process(clock)
begin
if clock'event and clock='1' then
case state is
when s_input_xy=> state<=s_extra;
when s_extra=>
if x=y then state <=s_output;
elsif x>y then state<=s_x_bigger;
else state<=s_y_bigger;
end if;
when s_x_bigger=> state<= s_extra;
when s_y_bigger=> state<= s_extra;
when s_output=> state<=s_output;
end case;
end if;
end process;
output_logic: process(state)
begin
case state is
when s_output=> z<=x;
when others=>
end case;
end process;
end behavioral; 天气变冷了,零度左右,却感觉好冷,是不是有风?
大连的风比这大一些的,但也没感觉这么冷。
鼻炎又加重了,温度低吧,难免的。
过一天少一天。
34 或者33 或者32 left。 买了个帽子,虽然戴着不好看~:sweat:
昨晚上梦见回去了,好开心的梦,感觉好真实。
叶子也快落完了,路边落叶成堆,踩在上面咯吱咯吱。
0度左右了,期待第一场雪。
今晚也有个好梦吧,困了,睡了。 挺困得,但终于下了第一场雪,虽然落地即溶,但终究是晶体不是液体。
纪念一下,然后睡觉。
听了好十几遍的 我们的歌, 呵呵。
发现听歌习惯真不一样,我可劲听一个感觉累得慌。 还剩30天,特此纪念。
回复 146楼 的帖子
:) 你不行~~还得我 好久没有听王力宏的歌了。突然听到 星期六的深夜 这首歌。
刚来韩国的时候发现这首歌,每次周六晚上听歌时候,总是随机到那首歌,听着挺难受的,而且那时候很有一种预感,在以后不知道哪一天,这首歌就完全符合我的境遇。
不过好在在,现在看来,我还是比较幸运的,再听的时候也没有什么伤感了,甚至都忘了还有这一首歌。
买了鸡翅,牛奶,小肉饼,鸡蛋,估计走之前鸡蛋是不用再买的。
22号,正好一个月 30天。 qq签名也改了。
明天接着吃鸡翅膀~:o 周末过完了,挺累的.
不过最难的报告貌似写完了,希望如此吧~
好梦,好心情!~ 学程序?电子类的学科都很繁琐。。。加油 今天学生会选举,之前搞得挺隆重,大冷天的在学校鞠躬发传单跳舞条幅的,不容易.
本想捣乱,胡乱投一票,但发现投票挺麻烦,是技术活,就算了.
交了网费,买了康师傅方便面,一包顶国内四包的价格,算是方便面中的奢侈品吧.
买了个豆豉鱼罐头,一大半是豆豉,开罐的时候还把手划了1厘米左右的口子,这人品.
小日子过一天少一天,报告也全都写完了,等待考试 等待放假 等待南航 等待T261. 在图书馆坐了一下午,等5点钟的课.
结果提前20分钟走,到了发现--------------------休讲.
这个无奈.
明天星期五,中午有鸡肉吃,每周最好的一顿饭.
周二盼越狱,周三能休息大半天,周五盼这顿饭.每周三盼.
饿了,睡觉. 迎接伟大的十二月
宅的日子,没什么辛苦,却很不舒服。
提不起兴致来啊,浑浑噩噩。
不管怎么样,十二月终于来了,终于翻到了这日历的最后一页。
胸闷,憋得慌,睡觉。 好好待着,快回来了:heart: 今天终讲了一科。
日子快点快点过吧,感觉坐不住了。
等待明天的越狱,不知道停播没。
肯定得好好待着,放宽心。 这日子有滋有味阿。 这家伙的日子过的很自我 全是辣白菜味,还不如没味呢。 周三,可以睡懒觉的日子。
microprocess的上机课真痛苦,对马弹琴啊。
本来准备明天写的作业今天晚上写完了。
接到了电话,问我什么时候的飞机,感觉可能出车送我吧,希望如此吧,但愿送就送,不送拉倒,吾乃天朝圣生,怎会有求于彼穷乡僻壤之棒。
万籁俱静,明天中午吃康师傅方便面+超市打折虾。